1.算法運行效果圖預覽
將vivado的仿真結(jié)果導入到matlab顯示三維混沌效果:
2.算法運行軟件版本
vivado2019.2
matlab2022a
3.算法理論概述
洛倫茲混沌系統(tǒng)是一種非線性動力系統(tǒng),最初由愛德華·洛倫茲(Edward Lorenz)于1963年引入,它的簡單方程組引發(fā)了混沌理論的開創(chuàng)性研究。該系統(tǒng)是混沌現(xiàn)象的典型范例,展示了復雜、不可預測的行為,即使在簡單的數(shù)學方程下也可以觀察到這種行為。
洛倫茲混沌系統(tǒng)由三個耦合的一階常微分方程組組成,這三個方程描述了三個狀態(tài)變量(或者說是維度)之間的關系。這些狀態(tài)變量表示系統(tǒng)在空間中的位置,從而形成一個三維相空間,該相空間中的軌跡表現(xiàn)出異常的復雜性和混沌特性。
洛倫茲方程組描述如下:
其中,x、y 和 z 是狀態(tài)變量,t是時間,而 \sigmaσ、\rhoρ 和 \betaβ 則是系統(tǒng)的參數(shù)。這些參數(shù)的取值會影響洛倫茲系統(tǒng)的動態(tài)行為。
系統(tǒng)特點:
敏感依賴于初始條件: 洛倫茲系統(tǒng)展現(xiàn)出敏感依賴于初始條件的特點,即微小的初始條件變化可能導致長時間內(nèi)的軌跡發(fā)生巨大的分離。
奇異吸引子: 洛倫茲系統(tǒng)的相空間中,軌跡圍繞著一個被稱為“奇異吸引子”的復雜結(jié)構(gòu)。這個吸引子是一個分形結(jié)構(gòu),展現(xiàn)了無規(guī)則且不可重復的形態(tài)。
周期性和混沌性共存: 洛倫茲系統(tǒng)在參數(shù)空間內(nèi)可以存在周期性行為和混沌行為,這種現(xiàn)象被稱為“周期倍增路線到混沌”。
混沌的產(chǎn)生原理: 洛倫茲混沌系統(tǒng)的混沌行為來源于非線性項的存在。當參數(shù)取值在一定范圍內(nèi),非線性項的影響會導致相空間中的軌跡錯綜復雜地交織在一起,這使得系統(tǒng)的演化變得高度不可預測。
洛倫茲混沌系統(tǒng)是混沌現(xiàn)象的經(jīng)典范例,它揭示了非線性系統(tǒng)的復雜行為和對初始條件的敏感性。通過簡單的數(shù)學方程,洛倫茲系統(tǒng)展現(xiàn)出了無法預測的、高度不穩(wěn)定的軌跡,這一發(fā)現(xiàn)在混沌理論的發(fā)展中具有重要地位,深刻影響了許多領域,包括天氣預測、物理學、生物學等。
4.部分核心程序
testbench如下所示:
`timescale 1ns / 1ps // // Company: // Engineer: // // Create Date: 2023/08/25 21:50:23 // Design Name: // Module Name: TEST // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // // module TEST(); reg i_clk; reg i_rst; wire signed[31:0]o_xn; wire signed[31:0]o_yn; wire signed[31:0]o_zn; tops uut( .i_clk (i_clk), .i_rst (i_rst), .o_xn (o_xn), .o_yn (o_yn), .o_zn (o_zn) ); initial begin i_clk=1'b1; i_rst=1'b1; #1000 i_rst=1'b0; end always #5 i_clk=~i_clk; integer fout1; integer fout2; integer fout3; initial begin fout1 = $fopen("X.txt","w"); fout2 = $fopen("Y.txt","w"); fout3 = $fopen("Z.txt","w"); end always @ (posedge i_clk or posedge i_rst) begin if(i_rst==1'b0) begin $fwrite(fout1,"%d\n",o_xn); $fwrite(fout2,"%d\n",o_yn); $fwrite(fout3,"%d\n",o_zn); end end endmodule