国产一级a片免费看高清,亚洲熟女中文字幕在线视频,黄三级高清在线播放,免费黄色视频在线看

打開APP
userphoto
未登錄

開通VIP,暢享免費電子書等14項超值服

開通VIP
計算機(jī)學(xué)科國際會議排名

序號會議名稱會議介紹代表領(lǐng)域 

1ACM SIGCOMM: ACM Conf on Communication Architectures, Protocols & AppsACM的旗艦會議之一,也是網(wǎng)絡(luò)領(lǐng)域頂級學(xué)術(shù)會議,內(nèi)容側(cè)重于有線網(wǎng)絡(luò),每年舉辦一次,錄用率約為10%左右。網(wǎng)絡(luò)通信領(lǐng)域

 2IEEE INFOCOM: The Conference on Computer CommunicationsIEEE計算機(jī)和通信分會聯(lián)合年會,由IEEE計算機(jī)通信技術(shù)委員會和IEEE通信協(xié)會聯(lián)合舉辦,是信息通信領(lǐng)域規(guī)模最大的頂尖國際學(xué)術(shù)會議,錄用率約為16%左右。這個每年一度的會議的主要議題是計算機(jī)通信,重點是流量管理和協(xié)議。網(wǎng)絡(luò)通信領(lǐng)域

 3IEEE International conference on communicationsIEEE國際通信大會,是IEEE通信學(xué)會的兩大旗艦會議之一。每年舉辦一次,錄用率約為30%左右。網(wǎng)絡(luò)通信領(lǐng)域

 4IEEE Globecom: Global Telecommunications ConferenceIEEE全球電信會議,IEEE通信學(xué)會的兩大旗艦會議之一。覆蓋包括語音、數(shù)據(jù)、圖像和多媒體通信等熱點問題的技術(shù)和其它活動。GLOBECOM每年一次,一般都在十一月舉行,錄用率約為30%-40%。網(wǎng)絡(luò)通信領(lǐng)域 

5IEEE ITC: International Test Conference創(chuàng)始于1970年,是測試領(lǐng)域頂級學(xué)術(shù)會議,對工業(yè)界影響巨大。每年舉辦一次,近年參會人員規(guī)模達(dá)到數(shù)千人。網(wǎng)絡(luò)通信領(lǐng)域 

 6IEEE The International Conference on Dependable Systems and NetworksIEEE可靠系統(tǒng)和網(wǎng)絡(luò)會議,是IEEE容錯計算技術(shù)委員會主辦的最重要的國際會議,也是可靠系統(tǒng)和網(wǎng)絡(luò)領(lǐng)域歷史最悠久,地位非常高的學(xué)術(shù)會議。網(wǎng)絡(luò)通信領(lǐng)域 

 7ACM MobiCom: International Conference on Mobile Computing and Networking無線網(wǎng)絡(luò)領(lǐng)域頂級會議,錄用率約為10%,每年舉行一次。無線網(wǎng)絡(luò)領(lǐng)域 

 8ACM SIGMETRICS: Conference on Measurement and Modeling of Computer Systems偏重于建模和測量的重要國際會議,內(nèi)容覆蓋系統(tǒng)和網(wǎng)絡(luò),錄用率為10%左右。網(wǎng)絡(luò)通信領(lǐng)域 

 9MOBIHOC: ACM International Symposium on Mobile Ad Hoc Networking and Computing無線網(wǎng)絡(luò)領(lǐng)域新興的重要國際會議,內(nèi)容側(cè)重于adhoc網(wǎng)絡(luò)。無線網(wǎng)絡(luò)領(lǐng)域 

 10IEEE International Conference on Distributed Computing Systems由IEEE主辦,開始于1979年,從84年起每年舉辦一次。這是分布式計算系統(tǒng)領(lǐng)域中歷史最悠久的會議。錄用率約為18%左右。分布式計算系統(tǒng)領(lǐng)域 

 11IMC: Internet Measurement Conference網(wǎng)絡(luò)測量領(lǐng)域頂級的專業(yè)會議網(wǎng)絡(luò)測量 

 12ICCV: IEEE International Conference on Computer Vision領(lǐng)域頂級國際會議,錄取率20%左右,2年一次,中國大陸每年論文數(shù)不超過10篇計算機(jī)視覺,模式識別,多媒體計算 

 13CVPR: IEEE Conf on Comp Vision and Pattern Recognition領(lǐng)域頂級國際會議,錄取率25%左右,每年一次,中國大陸每年論文數(shù)不超過20篇模式識別,計算機(jī)視覺,多媒體計算 

 14ECCV: European Conference on Computer Vision領(lǐng)域頂級國際會議,錄取率25%左右,2年一次,中國大陸每年論文數(shù)不超過20篇模式識別,計算機(jī)視覺,多媒體計算 

 15DCC: Data Compression Conference領(lǐng)域頂級國際會議,錄取率很低,每年一次,目前完全國內(nèi)論文極少數(shù)據(jù)壓縮 

 16ICML: International Conference on Machine Learning領(lǐng)域頂級國際會議,錄取率25%左右,2年一次,目前完全國內(nèi)論文很少機(jī)器學(xué)習(xí),模式識別

 17NIPS: Neural Information Processing Systems領(lǐng)域頂級國際會議,錄取率20%左右,每年一次,目前完全國內(nèi)論文極少(不超過5篇)神經(jīng)計算,機(jī)器學(xué)習(xí)

18ACM MM: ACM Multimedia Conference領(lǐng)域頂級國際會議,全文的錄取率極低,但Poster比較容易多媒體技術(shù),數(shù)據(jù)壓縮

19IEEE ICIP: International conference on Image Processing圖像處理領(lǐng)域最具影響力國際會議,一年一次圖像處理 

 20IEEE ICME: International Conference on Multimedia and Expo多媒體領(lǐng)域重要國際會議,一年一次多媒體技術(shù) 

 21IEEE VR:IEEE Virtual RealityIEEE虛擬現(xiàn)實會議,每年一次虛擬現(xiàn)實領(lǐng)域 

 22ACM VRST:ACM Virtual Reality Software and Technology虛擬現(xiàn)實軟件與技術(shù)ACM年會,一年一次虛擬現(xiàn)實領(lǐng)域 

 23CGI:Computer Graphics International國際圖形學(xué)會議,一年一次圖形學(xué)領(lǐng)域 

 24ACL: The Association for Computational Linguistics國際計算語言學(xué)會年會,是本領(lǐng)域最權(quán)威的國際學(xué)術(shù)會議之一,每年舉辦一次計算語言學(xué),自然語言處理

25COLING: International Conference on Computational Linguistics計算語言學(xué)會議,也是本領(lǐng)域最權(quán)威的國際學(xué)術(shù)會議之一,兩年一次計算語言學(xué),自然語言處理 

26IEEE ICASSP: International Conference on Acoustics, Speech and Signal Processing是語音和聲學(xué)信號處理領(lǐng)域最權(quán)威的國際學(xué)術(shù)會議之一,也是圖像、視頻信號處理領(lǐng)域的權(quán)威會議之一,每年舉辦一次信號處理 

27IJCNLP: International Joint Conference on Natural Language Processing自然語言處理亞洲聯(lián)盟主辦的國際會議,是自然語言處理領(lǐng)域亞洲區(qū)域最有影響的學(xué)術(shù)會議,基本是每年舉辦一次自然語言處理 

28IEEE/ACM Design Automation Conference頂級會議,在美國召開IC設(shè)計領(lǐng)域

29IEEE VLSI Test Symposium一級會議,在美國召開測試領(lǐng)域

30IEEE/ACM Design, Automation and Test in Europe一級會議,在歐洲召開設(shè)計和測試領(lǐng)域 

 31IEEE Asian Test Symposium一級會議,在亞洲召開測試領(lǐng)域 

 32Ubicomp: International Conference on Ubiquitous Computing國際普適計算年會,本領(lǐng)域最權(quán)威的學(xué)術(shù)會議之一,每年一次普適計算 

 33PerCom: IEEE International Conference on Pervasive Computing and Communications本領(lǐng)域最權(quán)威的學(xué)術(shù)會議之一,每年一次普適計算 

 34EUC: The IFIP International Conference on Embedded And Ubiquitous Computing普適計算與嵌入式系統(tǒng)峰會,一年一次,不僅僅是學(xué)術(shù)討論,也有工業(yè)界和政府代表參加普適計算與嵌入式系統(tǒng) 

 35ICPS: IEEE International Conference on Pervasive Services普適計算與服務(wù)會議,一年一次普適計算 

 36SenSys,ACM Conference on Embedded NEtworked Sensor SystemsACM主辦傳感器網(wǎng)絡(luò)最有影響力的會議,由SIGCOMM, SIGMOBILE, SIGARCH, SIGOPS, SIGMETRICS,SIGBED等ACM的Special InterestGroups提供學(xué)術(shù)資助。從2003年開始,已經(jīng)連續(xù)舉辦4屆:03年收錄24篇;04年收錄21篇;05收錄21篇文章;06年24篇收錄文章。目前只能查到2004年的錄用率,為14.5%。傳感器網(wǎng)絡(luò) 

 37SECON, IEEE Communication Society Conference on Sensor and Ad Hoc COmmunications and Networks,由IEEE發(fā)起的會議,基本每年舉行一次。近三年的錄取率(04,05,06)分別為18.1% 27.2%和25.9%傳感器網(wǎng)絡(luò)

38MASS, IEEE International Conference on Mobile Ad hoc and Sensor Systems由IEEE、DARPA、 NSF和Army Research Office 發(fā)起的國際會議,基本每年舉行一次。2006年錄用率24%傳感器網(wǎng)絡(luò)

39The International Conference for High Performance Computing and Communication每年11月舉行(始于1989年), Conference on High Performance Networking and Computing , http://www.sc-conference.org/高性能計算

40CLUSTER 4, IEEE Int’l Conf. on Cluster Computing,http://grail.sdsc.edu/cluster2004/高性能計算 

 41HPDC-, th IEEE Int’l Symp. on High-Performance Distributed Computing, Honolulu.http://hpdc13.cs.ucsb.edu高性能計算 

42NASA/IEEE Conference on Mass Storage Systems and Technologies (MSST4),每年一次,http://storageconference.org/高性能計算 

43SuperComputing:The International Conference for High PerformanceComputing and Communications高性能計算機(jī)方向公認(rèn)的高水平會議之一,第一屆會議是1988年,每年11月舉行,4、5月份投稿。會議內(nèi)容包括technical andeducation programs,workshops,tutorials以及展覽的一系列活動供與會者參加。SuperComputing“isthe one place that attendees can see tomorrow's technology being usedto solve world-class challenge problemstoday”。官方網(wǎng)站為http://www.sc-conference.org高性能計算 

 44IEEE Int'l Conf. on Cluster Computing該會議一般會以Cluster+年份作為會議名稱,比如Cluster 2005。該會議主要討論商業(yè)集群相關(guān)技術(shù),包括“To achievehigher performance, scalability, and usability, research and developmentchallenges remain in virtually all areas of cluster computing,including middleware, networking, algorithms and applications, resourcemanagement, platform deployment and maintenance, and integration withgrid computing”。每年9月份舉行,4、5月份投稿。高性能計算 

45[ICDCS] International Conference on Distributed Computing Systems由IEEE主辦,開始于1979年,從84年起每年舉辦一次。這是分布式計算系統(tǒng)領(lǐng)域中歷史最悠久的會議。ICDCS provides aforum for engineers and scientists in academia, industry, and governmentto present and discuss their latest research findings on a broad arrayof topics in distributed computing.高性能計算 

 46[HPDC] IEEE Int'l Symp. On High Performance Distributed ComputingThis conference is “a forum for presenting the latest research findingson the design and use of highly networked systems for computing,collaboration, data analysis, and other innovative tasks”.每年6、7月份舉行,2月份截稿,3月底確定高性能計算 

47International Conference for High Performance Computing andCommunications (IEEE/ACM Supercomputing Conference)高性能計算領(lǐng)域的頂級會議,全世界從事高性能計算事業(yè)的每年一次的最重要的盛會之一。每年12月份召開,會上發(fā)布TOP500的下半年排名。高性能計算

48ACM International Conference on Supercomputing高性能計算領(lǐng)域的頂級會議,全世界從事高性能計算事業(yè)的每年一次的最重要的盛會之一。每年6月份召開,會上發(fā)布TOP500的上半年排名。高性能計算

49IEEE International Parallel & Distributed Processing SymposiumIEEE 和ACM SIGARCH發(fā)起的并行處理國際會議。每年一次高性能計算

50IEEE International Conference on Parallel ProcessingIEEE發(fā)起的并行處理國際會議。每年一次高性能計算

51IEEE International Conference on High Performance ComputingIEEE發(fā)起的高性能計算國際會議。每年一次在印度舉行。高性能計算

52ACM SIGMETRICS International Conference on Measurement and Modeling ofComputer Systems.Performance presents papers on the development and application of stateof the art, broadly applicable analytic, simulation, andmeasurement-based performance evaluation techniques. We are interestedin techniques whose aim is to evaluate a system's dependability,security, correctness, or power consumption as well as more traditionalperformance metrics. Of particular interest is work that furthers thestate of the art in performance evaluation methods, or that creativelyapplies previously developed methods to gain important insights into keydesign trade-offs in complex computer and communication systems.高性能計算

53IEEE Annual Workshops on Workload Characterization.The meeting that began as Workshop on Workload Characterization (WWC)in1998 is becoming a Symposium. New computer applications andprogramming paradigms are constantly emerging to complement new andimproving technology. The design of next generation microprocessors andcomputer systems should be based on an understanding of today's emergingworkloads.高性能計算 

 54International Symposium on Computer Architecture(ISCA)ISCA is the premier forum for computer architecture research高性能計算

 55International Symposium on High Performance Computer Architecture(HPCA)高性能計算

56International Symposium on Microarchitecture (MICRO)The annual MICRO conference (co-sponsored by SIGMICRO) has been a keyforum for presenting major breakthroughs in computing architecture, andhas established itself as the premier conference on instruction levelparallelism.高性能計算

 57FAST: USENIX Conference on File and Storage Technologies,存儲領(lǐng)域最好的專業(yè)會議,該會議只針對存儲相關(guān)的內(nèi)容,屬于本領(lǐng)域最頂級的會議。錄取率非常低,現(xiàn)在的狀況是基本上只有美國和加拿大最頂尖的研究小組在上面發(fā)表文章。每年舉辦一屆。存儲領(lǐng)域

58NASA/IEEE Conference on Mass Storage Systems and Technologies (MSST4),存儲領(lǐng)域的專業(yè)會議,歷史很長,在業(yè)界比較有影響存儲領(lǐng)域 

 59SNAPI’4: International Workshop on Storage Network Architecture and Parallel I/Os, Antibes Juan-les-spins, French,存儲領(lǐng)域較好的專業(yè)會議存儲領(lǐng)域

60IEEE SC: SC-High Performance Computing, Networking and Storage Conference高性能計算領(lǐng)域最好會議之一存儲領(lǐng)域

 61IEEE International Workshop on Networking, Architecture, and Storages(IWNAS)國內(nèi)辦的存儲領(lǐng)域的國際會議存儲領(lǐng)域62IEEE International Conference on Autonomic Computing(ICAC)自主計算領(lǐng)域的國際專業(yè)會議,從2004開始,每年舉辦一次。針對大規(guī)模計算機(jī)系統(tǒng)或軟件系統(tǒng)而提出的自管理、自配置、自優(yōu)化、自保護(hù)等概念。自主計算

 63Proceedings of the International Conference on Measurements and Modeling of Computer Systems性能測試、分析與模擬方面的頂級會議。一年一屆,已經(jīng)舉辦12屆性能研究 

 64International Symposium on High Performance Computer Architecture(HPCA)高性能計算領(lǐng)域最好會議之一,基本上都是最頂尖的研究小組在上面發(fā)文章高性能計算 

 65[HPDC] IEEE Int'l Symp. On High Performance Distributed Computing高性能分布式計算領(lǐng)域的會議,一年一屆,已經(jīng)舉辦15屆高性能計算 

 66IEEE Int'l Conf. on Cluster Computing集群和高性能計算很有影響的會議分布式系統(tǒng) 

 67USENIX Annual Technical Conference操作系統(tǒng)、體系結(jié)構(gòu)方面最好的會議之一計算機(jī)系統(tǒng) 

 68IEEE/ACM Int'l Symp. on Cluster Computing & the Grid集群和網(wǎng)格計算領(lǐng)域很好的會議集群 

 69International Symposium on Computer Architecture(ISCA )系統(tǒng)結(jié)構(gòu)最好的會議,系統(tǒng)結(jié)構(gòu)的旗艦會議?;旧鲜敲绹铐敿獾难芯啃〗M在上面發(fā)表文章,國內(nèi)的人員很難系統(tǒng)結(jié)構(gòu) 

 70International Symposium on Microarchitecture(MICRO)系統(tǒng)結(jié)構(gòu)最好的會議之一?;旧鲜敲绹铐敿獾难芯啃〗M在上面發(fā)表文章,國內(nèi)的人員很難系統(tǒng)結(jié)構(gòu) 

 71HPCC:The International Conference for High Performance Computing and Communications高性能計算領(lǐng)域較高的會議高性能計算 

 72IEEE International Conference on High Performance ComputingIEEE發(fā)起的高性能計算國際會議。每年一次在印度舉行。高性能計算 

 73Annual ACM International Conference on Supercomputing(ICS)高性能計算領(lǐng)域的頂級會議,全世界從事高性能計算事業(yè)的每年一次的最重要的盛會之一。每年6月份召開,會上發(fā)布TOP500的上半年排名。高性能計算 

74Symposium on Operating System Design and Implementation(OSDI)操作系統(tǒng)最好的會議和SOSP交替舉行,每兩年一屆,操作系統(tǒng)的旗艦會議。基本上是美國最頂尖的研究小組在上面發(fā)文章,其他地區(qū)要中極其困難操作系統(tǒng) 

 75ACM Symposium on Operating Systems Principles (SOSP)操作系統(tǒng)最好的會議和OSDI交替舉行,每兩年一屆,操作系統(tǒng)旗艦會議操作系統(tǒng)。基本上是美國最頂尖的研究小組在上面發(fā)文章,其他地區(qū)要中極其困難操作系統(tǒng) 

 76Proceedings of the 11th International Conference on ArchitecturalSupport for Programming Languages and Operating Systems (ASPLOS)操作系統(tǒng)和程序語言最好的會議之一,錄取率也非常低,也是基本上只有美國最頂尖的研究小組能夠在上面發(fā)文,其他地區(qū)的極其困難操作系統(tǒng),程序語言 

 77Workshop on Hot Topics in Operating Systems (HOTOS)操作系統(tǒng)最好的會議之一操作系統(tǒng) 

 78Proceedings of the International Conference on Parallel Processing(ICPP)并行計算非常有影響的會議并行計算 

 79Annual IEEE Conference on Local Computer Networks(LCN)網(wǎng)絡(luò) 

 80International Conference on Distributed Computing Systems(ICDCS)分布式計算非常有影響的會議,每年一次分布式計算 

 81International Conference on Parallel and Distributed Computing, Applications and Technologies(PDCAT)分布式計算很好的會議,每年一次,已經(jīng)舉辦7屆分布式計算 

 82IEEE International Parallel and Distributed Processing Symposium(IPDPS)并行與分布式計算領(lǐng)域非常有影響的會議,每年一次并行與分布式計算 

 83ASPLOS: Architectural Support for Programming Languages and Operating SystemsASPLOS是由ACM主辦的國際會議,每年一次。主要關(guān)注硬件、體系結(jié)構(gòu)、編譯、操作系統(tǒng)等研究方向,在國內(nèi)外學(xué)術(shù)界很高的影響。編譯技術(shù) 

 84CASES: International Conference on Compilers, Architectures and Synthesis for Embedded SystemsCASES是ACM主辦的國際會議,每年一次,主要關(guān)注編譯器,體系結(jié)構(gòu)和嵌入式系統(tǒng)等研究方向,錄取率在20%-30%編譯技術(shù) 

 85CODES: International Conference on Hardware Software CodesignCODES是ACM主辦的國際權(quán)威會議,每年一次。始于1994年。主要關(guān)注hardware/software co-design和嵌入式系統(tǒng)的system-level design。近兩年的接收率為25%左右。編譯技術(shù) 

 86DAC: Annual ACM IEEE Design Automation ConferenceDAC是電子電路設(shè)計方面的國際權(quán)威會議,始于1984年。主要關(guān)注芯片、電路以及系統(tǒng)設(shè)計的新工具和新方法。近兩年的接收率為20%左右。編譯技術(shù) 

 87ICFP: International Conference on Functional ProgrammingFunctional Programming方向的國際會議。主要關(guān)注functional programming的設(shè)計、實現(xiàn)、概念和使用。接收率在30%左右。編譯技術(shù)

88ICS: International Conference on SupercomputingICS是由ACM SIGARCH主辦的國際會議,每年一次。主要關(guān)注高性能計算機(jī)和計算等方面的研究,在國內(nèi)外學(xué)術(shù)界很高的影響。接收率30%左右。編譯技術(shù)

 89ICSE: International Conference on Software Engineering軟件工程方向的權(quán)威會議,接收率不到20%。除了main conference之外,還包括tutorials, workshops, symposia以及collocated conferences。編譯技術(shù) 

 90ISCA: International Conference on Computer ArchitectureISCA是由IEEE 和ACM主辦的國際會議,每年一次。主要關(guān)注處理器結(jié)構(gòu)、存儲結(jié)構(gòu)、功耗等方面的研究,在國內(nèi)外學(xué)術(shù)界很高的影響。接收率20%左右。編譯技術(shù) 

 91ISMM: International Symposium on Memory Management內(nèi)存管理方向的國際會議,主要關(guān)注garbage collection, dynamic storage allocation, storagemanagement implementation techniques,另外也包括interactions with languagesand operating systems, and empirical studies of programs' memoryallocation and referencing behavior編譯技術(shù) 

 92ISSTA: International Symposium on Software Testing and AnalysisISSTA是國際頂級的關(guān)于software test and analysis的會議。近年來兩年舉辦一次。和它同時舉行的還有Formal Methods in Software Practice Workshop。編譯技術(shù) 

 93LCTES: Language, Compiler and Tool Support for Embedded Systems關(guān)注languages, compilers和tools for embedded systems的國際會議。每年一次,接收率在25%左右。編譯技術(shù) 

 94MICRO: International Symposium on Microarchitecture計算機(jī)體系結(jié)構(gòu)方向的國際權(quán)威會議。關(guān)注計算機(jī)體系結(jié)構(gòu)領(lǐng)域的重大發(fā)展。同時也是指令級并行方向的頂級會議。編譯技術(shù) 

 95OOPSLA: Conference on Object Oriented Programming Systems Languages andApplicationsObject technology和面向?qū)ο蟪绦蛟O(shè)計領(lǐng)域的國際權(quán)威會議。涉及的具體方向有patterns, refactoring,aspect-oriented programming, dynamic compilation and optimization,unified modeling language, and agile methods。接收率20%左右。編譯技術(shù) 

96PLDI: Conference on Programming Language Design and ImplementationPLDI是由ACM主辦的國際會議,每年一次。主要關(guān)注編程語言的設(shè)計與實現(xiàn)等方面的研究工作,在國內(nèi)外學(xué)術(shù)界很高的影響。編譯技術(shù)

 97PODC: Annual ACM Symposium on Principles of Distributed Computing關(guān)注分布式系統(tǒng)的理論,設(shè)計,實現(xiàn),規(guī)范等領(lǐng)域的國際會議。近年的接收率在25%左右。編譯技術(shù)

98POPL: Annual Symposium on Principles of Programming Languages關(guān)注programming languages, programming systems, and programminginterfaces的design, definition, analysis, andimplementation的國際權(quán)威會議,始于1973年,接收率不到20%編譯技術(shù)

99PPoPP: Principles and Practice of Parallel ProgrammingPPoPP是由ACM主辦的國際會議,兩年一次。主要關(guān)注并行編程方面的研究,在國內(nèi)外學(xué)術(shù)界很高的影響。接收率30%。編譯技術(shù) 

 100SIGMETRICS: Joint International Conference on Measurement and Modeling of Computer Systems關(guān)注計算機(jī)系統(tǒng)性能方面的theory, practice and case studies的國際會議編譯技術(shù) 

 101SIGSOFT: Foundations of Software EngineeringSoftware Engineering領(lǐng)域的權(quán)威會議。2005年以前的接收率一般不足20%。編譯技術(shù) 

 102ASE - IEEE International Conference on Automated Software Engineering關(guān)注軟件開發(fā)自動化的頂級會議。前身為KBSE(Knowledge-Based Software Engineering),始于1997年。編譯技術(shù)

103CGO - International Symposium on Code Generation and OptimizationCGO是由IEEE CS和ACMSIGMICRO主辦的國際會議,每年一次。主要關(guān)注代碼生成和優(yōu)化等方面的研究,在國內(nèi)外學(xué)術(shù)界很高的影響。接收率30%左右。編譯技術(shù)

104CLUSTER - IEEE International Conference on Cluster ComputingCLUSTER是由IEEE主辦的國際會議,每年一次。主要關(guān)注群集計算方面的研究,在國內(nèi)外學(xué)術(shù)界很高的影響。編譯技術(shù)

105DATE - Design, Automation, and Test in Europe關(guān)注Design methodologies, CAD languages,algorithms and tools, Testing of electronic circuits and systems, andDesigner experiences的國際會議編譯技術(shù)

106EURO-PDP - Euromicro Conference on Parallel, Distributed andNetwork-Based ProcessingEUROMICRO的一個workshop,關(guān)注并行和分布式計算。編譯技術(shù)

107HPCA - International Symposium on High-Performance Computer ArchitectureHPCA是由IEEE主辦的國際會議,每年一次。主要關(guān)注高性能計算方面的研究,在國內(nèi)外學(xué)術(shù)界較高的影響。編譯技術(shù)

108HPCS - Annual International Symposium on High Performance ComputingSystems and ApplicationsIEEE主辦,每年在加拿大的大學(xué)召開。但參會者不局限于加拿大的研究人員。其內(nèi)容涵蓋了HPC的各個領(lǐng)域編譯技術(shù)

109ICDCS - International Conference on Distributed Computing SystemsIEEE主辦,涉及分布式計算方面各個領(lǐng)域的權(quán)威會議。起始于1979年。編譯技術(shù)

110ICPADS - International Conference on Parallel and Distributed SystemsIEEE主辦,關(guān)注并行和分布式系統(tǒng)的國際會議編譯技術(shù)

111IISWC - IEEE International Symposium on Workload Characterization關(guān)注characterization of computing system workload的國際會議。涵蓋applications,middleware, system的behavior。Benchmark的構(gòu)造和分析,以及程序行為的modeling等領(lǐng)域。編譯技術(shù)

112IPDPS - International Parallel and Distributed Processing SymposiumIEEE主辦,并行和分布式處理相關(guān)的國際會議。包括并行處理的算法,應(yīng)用,體系結(jié)構(gòu),以及和并行處理相關(guān)的軟件如語言,編譯器,運(yùn)行時系統(tǒng)等。編譯技術(shù)

113ISPASS - IEEE International Symposium on Performance Analysis of Systemsand SoftwareIEEE主辦,關(guān)注計算機(jī)軟硬件設(shè)計中的性能分析。編譯技術(shù) 

114PACT - International Conference on Parallel Architectures and Compilation TechniquesPACT是由IEEE CS、ACM SIGARCH和IFIP主辦的國際會議,每年一次。主要關(guān)注并行體系結(jié)構(gòu)、針對并行計算機(jī)系統(tǒng)的編譯等方面的研究,在國內(nèi)外學(xué)術(shù)界很高的影響。編譯技術(shù) 

 115RTSS - IEEE Real-Time Systems Symposium實時系統(tǒng)研究的頂級會議,IEEE主辦,已經(jīng)舉行了27屆。編譯技術(shù)

116RTAS - IEEE Real-Time and Embedded Technology and Applications Symposium關(guān)注實時和嵌入式計算的基礎(chǔ)結(jié)構(gòu),理論,system support的國際會議。編譯技術(shù) 

 117SC - IEEE/ACM SC ConferenceSuperComputing的簡稱。關(guān)注的領(lǐng)域為HPC,networking,storage and analysis。ACM和IEEE合辦。編譯技術(shù) 

 118LCPC - International Workshop on Languages and Compilers for ParallelComputing始于1988年,涉及編程語言的各個方面,包括compiler techniques, run-time environments, andcompiler-related performance evaluation for parallel andhigh-performance computing。編譯技術(shù) 

 119CC: International Conference on Compiler Construction關(guān)注的領(lǐng)域涉及程序的各個方面,包括編譯器構(gòu)造,run-time技術(shù),prgramming tools,新編程語言。也包括一些特別的領(lǐng)域如parallel, ditributed, embedded, mobile, low power code,hardware等。是ETAPS的member conference。影響因子0.83編譯技術(shù) 

 120HiPEAC - International Conference on High Performance Embedded Architectures & Compilers關(guān)注嵌入式系統(tǒng)的發(fā)展,包括處理器設(shè)計,編譯優(yōu)化等。編譯技術(shù) 

 121ECOOP - European Conference on Object-Oriented Programming關(guān)注object technology的各個領(lǐng)域。其中也包括一些雖然不直接和Object Oriented相關(guān),但同樣具有啟發(fā)性的工作。編譯技術(shù)

122ESOP - European Symposium on ProgrammingETAPS的member conference,是歐洲Software Science方向的重要會議。涵蓋了程序語言的設(shè)計和實現(xiàn),編程模型的研究,程序的自動生成和分析等方向。編譯技術(shù) 

123Euro-Par - European Conference on Parallel Computing關(guān)注并行計算的諸多方面的國際會議。粗略可分為hardware, software,algorithms and application for parallel computing幾個部分。

124SAS - International Static Analysis Symposium關(guān)注程序的靜態(tài)分析的權(quán)威會議。編譯技術(shù) 

 125CAV - Computer Aided VerificationRank1的國際會議,關(guān)注計算機(jī)輔助形式驗證,涵蓋從theoretical results到concreteapplications的諸多方面,尤其是practial verification tools and the algorithms andtechniques that needed for their implementation。影響因子1.88。編譯技術(shù)

126FASE - Fundamental Approaches to Software EngineeringETAPS的member conference,主要關(guān)注Software Science,影響因子0.91。編譯技術(shù) 

127TACAS - Tools and Algorithms for the Construction and Analysis ofSystemsETAPS的member conference,關(guān)注的領(lǐng)域包括formal methods, software and hardwareverification, static analysis, programming languages, softwareengineering, real-time systems, and communications protocols。影響因子1.24編譯技術(shù) 

 128VMCAI - Verification, Model Checking and Abstract InterpretationRank2的國際會議。關(guān)注的領(lǐng)域包括Verification, Model Checking, and AbstractInterpretation, facilitating interaction, cross-fertilization, andadvancement of hybrid methods that combine the three areas。編譯技術(shù)

129ACL: The Association for Computational Linguistics計算語言學(xué)/自然語言處理方面最好的會議, ACL (Association of Computational Linguistics) 主辦,每年開。人工智能 計算語言學(xué) 

 130ACM SIGIR: The ACM Conference on Research and Development in Information Retrieval信息檢索方面最好的會議, ACM 主辦, 每年開。19%左右信息檢索技術(shù)

131ACM SIGKDD: The ACM Conference on Knowledge Discovery in Databases and Data Mining數(shù)據(jù)挖掘方面最好的會議, ACM 主辦, 每年開。18%左右

132WWW: The ACM International World Wide Web Conference應(yīng)用和媒體領(lǐng)域頂級國際會議萬維網(wǎng)

133ACM SIGMOD: ACM SIGMOD Conf on Management of Data數(shù)據(jù)庫領(lǐng)域頂級國際數(shù)據(jù)管理 

 134CIKM: The ACM Conference on Information and Knowledge Management數(shù)據(jù)庫領(lǐng)域知名國際會議數(shù)據(jù)管理

135COLING: International Conference on Computational Linguistics計算語言學(xué)知名國際會議計算語言學(xué)

136ICML: International Conference on Machine Learning領(lǐng)域頂級國際會議,錄取率25%左右,2年一次,目前完全國內(nèi)論文很少機(jī)器學(xué)習(xí),模式識別

137IEEE ICDM: International Conference on Data Mining數(shù)據(jù)挖掘領(lǐng)域頂級國際會議

138IJCAI: International Joint Conference on Artificial Intelligence人工智能領(lǐng)域頂級國際會議,論文接受率18%左右人工智能 

 139VLDB: The ACM International Conference on Very Large Data Bases數(shù)據(jù)庫領(lǐng)域頂級國際數(shù)據(jù)庫 

 140SIGGRAPH: ACM SIGGRAPH Conference計算機(jī)圖形學(xué)頂級國際會議,ACM主辦,每年一次,幾萬人參加會議,論文錄用率小于20%計算機(jī)圖形學(xué) 

 141EUROGRAPHICS: The Annual Conference of the European Association for Computer Graphics歐洲舉辦的國際圖形學(xué)會議,面向世界。接受率現(xiàn)在也有差不多20%計算機(jī)圖形學(xué)

142AAAI: American Association for Artificial Intelligence美國人工智能學(xué)會AAAI的年會,使該領(lǐng)域的頂級會議人工智能

143ACM Conference on Computer and Communications SecurityACM通信和計算健全領(lǐng)域頂級學(xué)術(shù)會議信息安全

144ACM SIGCOMM: Special Interest Group on Data Communications數(shù)據(jù)通信

145ACM SIGIR: The ACM Conference on Research and Development in Information Retrieval信息檢索領(lǐng)域的重要會議信息檢索

146ACM SIGKDD: The ACM Conference on Knowledge Discovery in Databases and Data MiningACM旗艦會議之一,是數(shù)據(jù)庫與知識管理的頂級學(xué)術(shù)會議。每年舉辦一次。通信與網(wǎng)絡(luò)

147ACM SIGMETRICS: Conference on Measurement and Modeling of Computer SystemsACM性能建模與評價領(lǐng)域頂級學(xué)術(shù)會議通信與網(wǎng)絡(luò)

148ACM SIGMOD: ACM SIGMOD Conf on Management of Data數(shù)據(jù)庫與數(shù)據(jù)管理最頂級的學(xué)術(shù)會議,數(shù)據(jù)管理的主要發(fā)展都在這個會上有描述。數(shù)據(jù)管理

149ASPLOS: Architectural Support for Programming Languages and Operating Systems編程語言和操作系統(tǒng)國際會議,涉及硬件體系結(jié)構(gòu)、編譯、操作系統(tǒng)、網(wǎng)格、應(yīng)用的領(lǐng)域。體系結(jié)構(gòu) 

 150CCGrid : IEEE/ACM International Symposium on Cluster Computing and the Grid網(wǎng)格計算國際會議,網(wǎng)格平臺、中間件 

151CIKM: The ACM Conference on Information and Knowledge Management信息檢索領(lǐng)域的會議,錄用率為15%信息檢索 

152CLUSTER - IEEE International Conference on Cluster Computing集群計算國際會議,涉及中間件、網(wǎng)格算法及應(yīng)用、資源管理、集成等。集群計算 

 153CPM: Combinatorial Pattern Matching Symposium組合模式匹配年會,是字符串匹配、模式匹配較好的會議。模式匹配 

 154FAST4: Third USENIX Conference on File and Storage Technologies,USENIX旗下關(guān)于文件和存儲系統(tǒng)的頂級會議,會議于2002年召開第一屆,召開地點都在美國加州。只有最好的工作能發(fā)表在FAST上。目前尚無大陸研究機(jī)構(gòu)命中。文件與存儲 

 155Grid : IEEE/ACM International Workshop on Grid Computing網(wǎng)格計算國際會議,涉及計算模型、大規(guī)模數(shù)據(jù)訪問和管理、資源管理和調(diào)度等。網(wǎng)格計算

156HPC: IEEE International Conference for High Performance Computing 

 157HPDC: International Symposium on High Performance Distributed Computing高性能分布計算國際會議,涉及告訴網(wǎng)格、分布計算、并行處理、大規(guī)模存儲通信等領(lǐng)域。高性能計算

158ICDCS: IEEE International Conference on Distributed Computing SystemsIEEE TCDP發(fā)起的關(guān)于分布式處理領(lǐng)域的會議,最為老牌強(qiáng)會,ICDLS 舉辦了26屆,錄用率為15%。分布式計算

159ICML: International Conference on Machine Learning機(jī)器學(xué)習(xí)領(lǐng)域中的頂級會議機(jī)器學(xué)習(xí) 

160ICWS: IEEE International Conference on Web ServicesWeb服務(wù)國際會議,面向服務(wù)標(biāo)準(zhǔn)及規(guī)范、服務(wù)應(yīng)用、語義服務(wù)等方面。Web服務(wù) 

 161IEEE CSB: Computer Society Bioinformatics 

 162IEEE ICDM: International Conference on Data Mining數(shù)據(jù)挖掘領(lǐng)域的著名會議,率用率為14%。數(shù)據(jù)挖掘 

 163IEEE ICNP: International Conference on Network ProtocolsIEEE 網(wǎng)絡(luò)通信領(lǐng)域頂級學(xué)術(shù)會議,錄用率在10%左右。網(wǎng)絡(luò) 

 164IEEE ICON: IEEE International Conference on Networks 

 165IEEE INFOCOM: conference on computer communicationsIEEE網(wǎng)絡(luò)通信領(lǐng)域著名會議,領(lǐng)域廣泛。網(wǎng)絡(luò) 

 166IEEE IPCCC: International Performance Computing and Communications ConferenceIEEE性能領(lǐng)域著名學(xué)術(shù)會議,主要關(guān)注性能評價。網(wǎng)絡(luò)性能 

 167IEEE SPIRE: The IEEE International Symposium on String Processing and Information Retrieval字符串處理與信息檢索的年會,字符串匹配的主要進(jìn)展都可以在這個會議上找到。字符串處理信息檢索 

 168IJCAI: International Joint Conference on AI人工智能領(lǐng)域的頂級會議。人工智能

169IMC: ACM-SIGCOMM/USENIX Internet Measurement ConferenceIMC: ACM-SIGCOMM/USENIX Internet Measurement ConferenceACM SIGCOMM網(wǎng)絡(luò)特征領(lǐng)域重要會議,主要涉及網(wǎng)絡(luò)流特征。 

 170International Symposium on High Performance Computer Architecture(HPCA)服務(wù)計算 

 171IPDPS: IEEE International Parallel and Distributed Processing SymposiumIEEE TCPD牽頭,IEEETCCA、TCDP與ACMSIGARH合辦的關(guān)于并行處理與分布式處理會。錄用率30%, 會議的優(yōu)秀論文可能發(fā)表于JDPC雜志上。并行計算分布式計算 

 172ISMB: International conference on Intelligent Systems for Molecular Biology無線 

 173MobiCom: ACM/IEEE Conference on Mobile Computing and Networking始于1995,無線、移動計算方面比較有歷史和重要的會議。安全 

174MobiSys: The International Conference on Mobile Systems, Applications, and Services無線方面,2006年第4名。無線 

175OSDI: USENIX Symposium on Operating Systems Design and ImplementationUSENIX操作系統(tǒng)領(lǐng)域重要會議,側(cè)重操作系統(tǒng)各方面的新型技術(shù)。操作系統(tǒng)

176PAKDD: Pacific-Asia Conference on Knowledge Discovery and Data Mining 

 177PDCAT: International Conference on Parallel and Distributed Computing, Applications and Technologies關(guān)注并行于分布式計算領(lǐng)域眾多問題的國際性會議,主要是亞太地區(qū)。并行計算分布式計算 

 178PKDD: Conference on Principles and Practice of Knowledge Discovery in Databases數(shù)據(jù)挖掘領(lǐng)域的重要會議,錄用率為14%。數(shù)據(jù)挖掘 

 179SCC: IEEE International Conference on Services Computing服務(wù)計算國際會議,側(cè)重服務(wù)模型、發(fā)現(xiàn)體系結(jié)構(gòu)、服務(wù)安全、服務(wù)質(zhì)量、服務(wù)語義方面的研究。服務(wù)計算 

 180SDM: SIAM International Conference on Data Mining數(shù)據(jù)挖掘領(lǐng)域的重要會議,錄用率為14%數(shù)據(jù)挖據(jù)

181SOSE: IEEE International Workshop on Service-Oriented System Engineering

182USENIX Sec: USENIX Security SymposiumUSENIX安全領(lǐng)域重要會議,側(cè)重安全技術(shù)。安全

183USENIX/ACM Symposium on Networked Systems Design and Implementation (NSDI)USENIX網(wǎng)絡(luò)領(lǐng)域重要會議,設(shè)計網(wǎng)絡(luò)涉及各方面內(nèi)容。網(wǎng)絡(luò)

184VLDB: The ACM International Conference on Very Large Data Bases數(shù)據(jù)管理 

 185WWW: The ACM International World Wide Web ConferenceACM旗下關(guān)于互聯(lián)網(wǎng)方面的重要會議,從Web服務(wù)器到互聯(lián)網(wǎng)語義等研究問題一一包含其中。15%錄用率。Internet

186RAID International Symposium on Recent Advances in Intrusion Detection數(shù)據(jù)庫頂級國際會議

187IJCAI: International Joint Conference on Artificial Intelligence人工智能頂級國際會議人工智能

188VLDB: The ACM International Conference on Very Large Data Bases數(shù)據(jù)庫頂級國際會議數(shù)據(jù)庫

189ICML: International Conference on Machine Learning機(jī)器學(xué)習(xí)頂級國際會議機(jī)器學(xué)習(xí)

190PRICAI: Pacific Rim International Conference on Artificial Intelligence亞太人工智能國際會議人工智能

191IFIP ICIIP: IFIP International Conference on Intelligent Information ProcessingIFIP智能信息處理國際會議智能信息處理

192NIPS: Neural Information Processing Systems神經(jīng)信息處理領(lǐng)域頂級國際會議神經(jīng)計算,機(jī)器學(xué)習(xí) 

 193ISCA: International Symposium on Computer Architecture體系結(jié)構(gòu)領(lǐng)域的頂級會議微處理器設(shè)計

194International Symposium on Microarchitecture體系結(jié)構(gòu)領(lǐng)域的頂級會議微處理器設(shè)計

195HPCA:International Symposium on High Performance Computer Architecture體系結(jié)構(gòu)領(lǐng)域的頂級會議微處理器設(shè)計 

196APCSAC: Asia-Pacific Computer Systems Architecture Conference體系結(jié)構(gòu)方面的重要會議微處理器設(shè)計

197ISLPED: International Symposium on Low Power Electronics and Design低功耗設(shè)計的重要會議微處理器設(shè)計

198OSDI: Operation System Design & Implementation操作系統(tǒng)方面的重要會議微處理器設(shè)計 

 199ASPLOS: Architecture Support for Programming Languages and Operation體系結(jié)構(gòu)方面的頂尖會議微處理器設(shè)計

200ICCD: IEEE International Conference on Computer Design體系結(jié)構(gòu)方面的頂尖會議微處理器設(shè)計

201DAC: Design Automation Conference設(shè)計自動化領(lǐng)域的頂級會議微處理器設(shè)計 

 202IEEE/ACM International Conference on Computer Aided Design(ICCAD)集成電路設(shè)計自動化方面的頂尖會議微處理器設(shè)計

203ASP-DAC: Asia and South Pacific Design Automation Conference設(shè)計自動化領(lǐng)域的重要會議微處理器設(shè)計

204ISSCC: IEEE International Solid-State Circuits Conference設(shè)計自動化領(lǐng)域的重要會議微處理器設(shè)計

205CICC: Custom Integrated Circuits Conference集成電路設(shè)計方面的頂尖會議(公認(rèn)排名第二)微處理器設(shè)計 

 206ESSCIRC: European Conference on Solid-State Circuits集成電路設(shè)計方面的頂尖會議微處理器設(shè)計

207Symposium on VLSI Circuits集成電路設(shè)計方面的頂尖會議微處理器設(shè)計 

 208IEEE International ASIC/SOC Conference集成電路設(shè)計方面的重要會議微處理器設(shè)計

209Symposium on VLSI Technology集成電路設(shè)計方面的重要會議微處理器設(shè)計 

 210ASSCC: Asian Conference on Solid-State Circuits集成電路領(lǐng)域重要會議微處理器設(shè)計 

 211MWSCAS: Midwest Symposium on Circuits and Systems集成電路領(lǐng)域重要會議微處理器設(shè)計 

 212ICECS: IEEE International Conference on Electronics, Circuits and Systems:集成電路領(lǐng)域重要會議微處理器設(shè)計 

 213ISCAS: International Symposium Circuit and System電路與系統(tǒng)方面的重要會議微處理器設(shè)計 

 214RFIC: IEEE Symposium on Radio Frequency Integrated Circuits射頻集成電路領(lǐng)域頂尖會議微處理器設(shè)計

215ACM RECOMB: Int. Conference on Research in Computational Molecular BiologyRECOMB創(chuàng)辦于1997年,每年舉辦一次,由ACM和/或國際計算生物學(xué)協(xié)會(ISCB)主辦,強(qiáng)調(diào)計算生物學(xué)的數(shù)學(xué)和計算方面,近年錄取率在20%左右。計算生物學(xué) 

 216IEEE CSB: Computer Society BioinformaticsCSB創(chuàng)辦于2002年,每年舉辦一次,2005年以前由IEEE協(xié)會主辦,2006年開始改由生命科學(xué)協(xié)會(LSS)主辦。計算生物學(xué) 

 217International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC)生物信息學(xué)與計算生物學(xué)國際研討會計算生物學(xué) 

 218PSB: Pacific Symposium on BiocomputingPSB創(chuàng)辦于1996年,每年舉辦一次,從屬于國際計算生物學(xué)協(xié)會(ISCB),由美國的研究機(jī)構(gòu)組織舉辦。計算生物學(xué) 

 219WABI:Workshop on Algorithms in BioinformaticsWABI創(chuàng)辦于2000年,每年舉辦一次,由歐洲理論計算機(jī)協(xié)會(EATCS)和國際計算生物學(xué)協(xié)會(ISCB)主辦,強(qiáng)調(diào)生物信息學(xué)的算法方面。計算生物學(xué) 

 220CSB: IEEE Computational Systems Bioinformatics ConferenceCSB創(chuàng)辦于2002年,每年舉辦一次,2005年以前由IEEE協(xié)會主辦,2006年開始改由生命科學(xué)協(xié)會(LSS)主辦計算生物學(xué) 

 221ISMB:Annual International Conference on Intelligent Systems for Molecular BiologyISMB創(chuàng)辦于1993年,每年舉辦一次,由國際計算生物學(xué)協(xié)會(ISCB)主辦,在生物信息學(xué)領(lǐng)域影響最大,論文集通常作為Bioinformatics雜志的??l(fā)表,近年來錄取率為15%左右。生物信息 

 222ECCB:European Conference on Computational BiologyECCB創(chuàng)辦于2002年,每年舉辦一次,由國際計算生物學(xué)協(xié)會(ISCB)主辦,有時與ISMB聯(lián)合舉辦,論文集通常作為Bioinformatics雜志的??l(fā)表,近年錄取率在20%左右。生物信息 

 223APBC:Asia Pacific Bioinformatics ConferenceAPBC創(chuàng)辦于2003年,每年舉辦一次,由亞太國家的研究機(jī)構(gòu)組織舉辦,近年錄取率在35%左右。生物信息 

 224COCOON:Annual International Computing and Combinatorics ConferenceCOCOON創(chuàng)辦于1995年,每年舉辦一次,會議范疇為計算理論、算法、組合優(yōu)化等,包括生物信息學(xué)方向,近年錄取率在40%左右。生物信息 

 225CPM:Annual Symposium on Combinatorial Pattern MatchingCPM創(chuàng)辦于1990年,每年舉辦一次,會議以串、樹和圖等復(fù)雜模式的搜索和匹配問題為主題,包括生物信息學(xué)方向,近年錄取率在45%左右。生物信息 

 226EMBC:IEEE International Conference of the Engineering in Medicine and Biology SocietyEMBC創(chuàng)辦于1979年,每年舉辦一次,從屬于IEEE醫(yī)學(xué)與生物工程協(xié)會,會議內(nèi)容覆蓋范圍很廣,每年接收的論文數(shù)以千計。生物信息 

227Geospatial Information and Technology Association(GITA) Annual Conference地球空間信息與技術(shù)協(xié)會年會遙感與空間信息處理

228International Geoscience and Remote Sensing Symposium (IGRSS)地球科學(xué)與遙感國際會議遙感與空間信息處理

229International Society for Photogrammetry and Remote Sensing (ISPRS)Technical Commission Symposium國際攝影測量與遙感學(xué)會專業(yè)委員會會議遙感與空間信息處理

230International Conference on Geoinformatics地球信息國際會議遙感與空間信息處理

231IEEE SKG (Semantics, Knowledge and Grid)由計算所發(fā)起的IEEE國際會議,每年有100人參加。知識網(wǎng)格 

 232WWW: The ACM International World Wide Web ConferenceInternet領(lǐng)域頂級國際會議Internet 

 233International Semantic Web ConferenceSemantic Web領(lǐng)域頂級會議,錄用率17%Semantic Web 

 234ACM SIGMOD: ACM SIGMOD Conf on Management of DataACM的旗艦會議之一,也是數(shù)據(jù)庫領(lǐng)域頂級學(xué)術(shù)會議,內(nèi)容側(cè)重于數(shù)據(jù)管理。數(shù)據(jù)管理 

 235ACM PODS ConferenceACM的旗艦會議之一,也是數(shù)據(jù)庫領(lǐng)域頂級學(xué)術(shù)會議,內(nèi)容側(cè)重于數(shù)據(jù)管理基本理論。數(shù)據(jù)管理

236VLDB: The ACM International Conference on Very Large Data Bases數(shù)據(jù)庫頂級國際會議數(shù)據(jù)管理 

 237IEEE ICDE - International Conference on Data Engineering數(shù)據(jù)庫頂級國際會議數(shù)據(jù)管理

本站僅提供存儲服務(wù),所有內(nèi)容均由用戶發(fā)布,如發(fā)現(xiàn)有害或侵權(quán)內(nèi)容,請點擊舉報
打開APP,閱讀全文并永久保存 查看更多類似文章
猜你喜歡
類似文章
【轉(zhuǎn)】計算機(jī)安全國際會議
2024年CCF計算機(jī)領(lǐng)域頂級會議投稿時間匯總(上半年)
CiteSeer統(tǒng)計的計算機(jī)領(lǐng)域的期刊和會議的影響因子
新版目錄|CCF推薦期刊變化6個,會議變化16個,A類會議增加3種
計算機(jī)科學(xué)相關(guān)的國際會議排名及其匯總
國際學(xué)術(shù)會議信息匯
更多類似文章 >>
生活服務(wù)
分享 收藏 導(dǎo)長圖 關(guān)注 下載文章
綁定賬號成功
后續(xù)可登錄賬號暢享VIP特權(quán)!
如果VIP功能使用有故障,
可點擊這里聯(lián)系客服!

聯(lián)系客服